Sprungnavigation:

zum Inhalt

FPGA mit VHDL

Beispiel: 8-Bit Register (Latch)

Es soll ein 8-Bit Register (Latch), welches man als SN74LS273N auch käuflich erwerben kann, in VHDL für ein FPGA entwickelt werden.

Als Entwicklungsumgebung nutze ich dafür die von der ALTERA Corporation, da ich die komplette Umgebung durch meine Partnerschaft im Altera Consultants Alliance Program (ACAP) als VHDL-FPGA-Designer lizensiert habe.
Ich hätte dafür auch die Entwicklungsumgebung von XILINX verwenden können. Beide kann man kostenlos als sogenannte Web-Edition downloaden.

 

Das 8-Bit Register (Latch) hat 8 Eingänge und 8 Ausgänge, sowie einen Eingang für den Reset und einen für das Clock-Signal.

Schaltbild

Diese Schaltung möchte ich gerne in VHDL umsetzen:

8-Bit Register

im 2. Teil Instanziierung weiterlesen.

Alle Informationen und Dateien zu diesem Beispiel

 
Qualitätsmanagement-Stempel von YASKO
Qualitätsmanagement nach
DIN EN ISO 9001:2015
Logo des FED
Mitglied im Fachverband für
Elektronik-Design e.V. (FED)